Re: rotateboxでtmpps1.pbmを生成する

名前: tnsd
日時: 2002-03-26 11:20:33
IPアドレス: 202.247.6.*

>>7594 早速のコメント,ありがとうございます. dvioutは90°の整数倍しかサポートしていないとのことですが, 45°回転させて確認したところ,プレビューはOK,プリントアウトは NG(回転されない画像が出力された)でした. なお,私はdvioutはプレビューアとしてのみ用い,プリントアウトには dvipskを用いていますので,この点は特に困りません. 現在私が困っているのは,7593でも書きましたとおり,90°回転した場合に tmpps1.pbmをいちいち吐き出すと言う点です. つまり,「プリントアウトはできなくてもかまわないから,90°回転した 場合にもtiger.pbmで用を済ます(?)方法はないでしょうか」という点を お伺いしたかったのです. 素人考えですが,89°や91°の場合にはできるのだから,90°でもできるのでは? と思ったわけです. 以上,ご教示頂きたく,よろしくお願いします. # dvioutがrotateをサポートするために,tmpps1.pbmを生成している, # と理解してよろしいでしょうか? # あと,今更な質問ですが,90°rotateした場合にtmpps1.pbmを吐き出す # のは,正常ですよね?

この書き込みへの返事:

お名前
題名 
メッセージ(タグは <a href="...">...</a> だけ使えます)